学科分类
/ 1
1 个结果
  • 简介:阐述了基于EDA技术的异步复位和计数使能控制的8位二进制减法计数器设计,设计通过MAXplusII编译和仿真,并通过EDA6000实验开发系统的验证。

  • 标签: 电子设计(EDA) 计数器 编译 仿真