学科分类
/ 2
40 个结果
  • 简介:串行通信在数字通信及控制系统中得到了广泛应用.本文介绍一种采用可编程逻辑器件CPLD实现UART的方法.将UART的核心功能集成到CPLD上,使整体设计紧凑,小巧,实现的UART功能稳定、可靠。所有功能的实现全部采用VHDL进行描述。

  • 标签: UART CPLD 可编程逻辑器件 功能集成 控制系统 数字通信
  • 简介:介绍了14位ADC采样芯片MAX1032的特性及工作原理,结合CPLD,给出MAX1032使用外部时钟模式由CPLD控制采样和存储结果应用方案及CPLD的逻辑设计方法。本方案适用于工业控制,自动测试,数据采集等领域。文中同时给出了使用Verilog编写的CPLD代码及主要原理图。

  • 标签: MAX1032 模数转换器 可编程逻辑器件 CPLD
  • 简介:针对以往装置存在的测距精度较低和循环存储器死区问题,采用CPLD的系统结构,用集成度较高的CPLD器件重新设计了高速采集控制器和时标标定模块,消除了循环存储器死区问题,也提高了测距精度.文中详细介绍了基于CPLD结构的故障行波测距装置的原理、结构及其特点.

  • 标签: 测距装置 结构行波 行波测距
  • 简介:摘要:在 CPLD的整个测试开发流程中,包括了静态和动态的测试。CPLD测试系统有别于传统的元件测试环境,具有自身的特性。测试平台要求有专门的 EDA技术,其设计环境要求与当前主流 CPLD兼容,使用专用 EDA软件编写测试程序,再由 JTAG接口将其上传至 CPLD,再进行软硬件的测试。

  • 标签: JTAG CPLD测试 配置方法
  • 简介:采用EDA技术在Altera公司的QuartusⅡ集成开发环境下,在MAXⅡ系列CPLD芯片上完成了4路抢答器的设计,并对设计结果进行了仿真,仿真结果满足设计要求,从而实现了4路抢答器的单芯片方案.该方案具有反应速度快,功耗低,易于实现功能升级、保密性好等优点.

  • 标签: EDA CPLD 抢答器 保密性
  • 简介:基于以DSP芯片TMS320F2812为核心的数字伺服控制器,以国微电子公司的SMl032国产CPLD(兼容Lattice公司的ispLSI1032)为载体,设计了专用的系统硬件看门狗模块,具备识别DSP软件初始化时序、自由定制看门狗时序等功能。通过对伺服控制器上电及工作运行时序的分析、仿真和实验验证,确定了硬件看门狗功能模块的设计方案,并给出了实验结果。

  • 标签: 看门狗 CPLD 状态机 仿真
  • 简介:采用2片74LS190数字逻辑器件设计了两位数的分频器,给出了设计的原理图,接着使用Quartus-II工具软件进行分频器的功能仿真,结果表明该分频器能够对输入信号的频率实现1-99的分频,最后将编译好的文件下载到相应的CPLD器件中,从硬件电路上实现了可变分频器的设计.

  • 标签: 电子信息 可变分频器 CPLD 数字电路
  • 简介:摘要:为适应中各种信号高精度要求,本文在信号调理的基础上,设计了基于CPLD的高精度记录仪设计。本文介绍一种采用ALTERA公司的CPLDEPM1270低电压处理器为核心的高精度多路录像机的设计。该系统采用USB接口与PC机相连,可以迅速地进行海量的数据传输。

  • 标签:
  • 简介:论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧

  • 标签: 出租车计费器
  • 简介:介绍了CPLD在行波管发射机监控系统中的应用。该系统具有硬件的实时性和软件的可编程性双重优点,CPLD开发工具EDA的使用大大降低了软件开发周期。该文对行波管发射机中的干扰源进行了分析,并对各种干扰源采取的硬件和软件抗干扰措施作了简要介绍,完善了系统电磁兼容性设计。实践证明,这些措施的采用对CPLD监控系统的可靠工作十分有效。该系统完成了对行波管发射机的逻辑控制、故障检测、时序控制以及与上位机之间通信等功能,取得了良好的应用效果。

  • 标签: CPLD器件 行波管发射机 电磁兼容 串口通信
  • 简介:摘要目前,电力电子保护系统普遍采用LS7400系列集成芯片或者可编程GAL集成芯片来实现电力电子系统各种保护信号与脉冲宽度调制(PWM)信号的逻辑组合。这种保护系统具有采用分立器件多、集成度低、电路复杂等缺点。当今社会是数字化的社会,是数字集成电路广泛应用的社会。目前电路设计与开发周期很短的现场可编程逻辑器件(FPLD)已经得到广泛应用,其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。它们具有体系结构/逻辑单元灵活、集成度高、可实现较大规模电路、编程灵活、设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定、可实时在线检验以及适用范围广等优,因此逐步被应用于电力电子保护系统的设计中。

  • 标签: CPLD器件 保护电路 电力电子系统
  • 简介:介绍了一个用Xilinx公司XC9500系列可编程逻辑器件设计的单片机接口、显示电路.简单叙述了利用Vrilog-HDL硬件描述语言和XilinxISE5.x设计工具软件,完成单片机接口、显示电路的设计过程,并给出了原程序代码和使用ISEWebPACK对显示电路仿真的波形和接口电路综合结果,以及有关技术资料所在网站的网址.

  • 标签: CPLD Vrilog-HDL 单片机接口 仿真综合
  • 简介:随着社会的发展,交通越来越便利,对于多山的贵州地区,想要实现交通的便利,隧道是必不可少的工程,而其中隧道灯的节能控制就是人们不可忽视的问题。在实际生活中隧道灯的节能控制的方法有很多,这里采用的是CPLD来实现这一功能。利用CPLD设计的电路可对隧道照明区域进行分段控制,做到"车近灯亮,车过灯灭",消除"黑洞效应"和"白洞效应",为驾驶员提供良好的驾驶环境,提高行驶安全性。同时,"车近灯亮,车过灯灭"的工作方式改变了现有隧道灯24h常亮的工作方式,节约大量电能。

  • 标签: 隧道灯的控制 CPLD 电路设计
  • 简介:介绍采用ALTERA公司的可编程器件,实现I^2C总线的通信接口的基本原理;给出部分VHDL语言描述。该通信接口与专用的接口芯片相比,具有使用灵活,系统配置方便的特点。

  • 标签: 可编程器件 CPLD I^2C总线 通信接口
  • 简介:探讨了CPLD/FPGA课程在教学内容和教学平台方面进行改革的必要性,以及基于项目教学的CPLD/FPGA实验仪研制,以期培养学生应用CPLD/FPGA实验仪进行真实项目开发的能力。

  • 标签: CPLD/FPGA 实验仪 项目教学
  • 简介:CPLD可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特点。介绍基于ATMEL公司的CPLD芯片ATF1508AS设计的串并转换和高速USB及其在高速高精度数据采集系统中的应用。

  • 标签: USB接口 可编程逻辑器件 CPLD 串并转换 通信设计